Blame projects/neural/trainer.cpp

e865c9
e865c9
e865c9
#include <ctime></ctime>
e865c9
e865c9
#include "layer.all.inc.cpp"
e865c9
#include "layer.all.test.inc.cpp"
e865c9
#include "train.digit.inc.cpp"
e865c9
e865c9
e865c9
bool runTests() {
e865c9
 if (!AllTest::test()) return false;
e865c9
 return printf("success\n"), true;
e865c9
}
e865c9
e865c9
e865c9
int main() {
e865c9
  srand(time(NULL));
e865c9
e865c9
  //return !runTests();
e865c9
  
e865c9
  #define FILENAME "data/output/weights.bin" // 28x28
e865c9
e865c9
  printf("create neural network\n");
e865c9
  Layer l(nullptr, Layout(28, 28)); l.filename                = FILENAME "1";
e865c9
  //(new LayerSimple<funcsigmoidexp>(l, Layout(256)))->filename = FILENAME "2";</funcsigmoidexp>
e865c9
  //(new LayerSimple<funcsigmoidexp>(l, Layout(64)))->filename = FILENAME "3";</funcsigmoidexp>
e865c9
  //(new LayerSimple<funcsigmoidexp>(l, Layout(128)))->filename = FILENAME "4";</funcsigmoidexp>
e865c9
  //(new LayerSimple<funcsigmoidexp>(l, Layout(64)))->filename  = FILENAME "5";</funcsigmoidexp>
e865c9
  //(new LayerSimple<funcsigmoidexp>(l, Layout(128)))->filename = FILENAME "5";</funcsigmoidexp>
e865c9
  (new LayerSimple<funcsigmoidexp>(l, Layout(32)))->filename  = FILENAME "6";</funcsigmoidexp>
e865c9
  //(new LayerSimple<funcsigmoidexp>(l, Layout(16)))->filename  = FILENAME "7";</funcsigmoidexp>
e865c9
  (new LayerSimple<funcsigmoidexp>(l, Layout(10)))->filename  = FILENAME "8";</funcsigmoidexp>
e865c9
e865c9
  l.sumStat().print();
e865c9
e865c9
  printf("load training data\n");
e865c9
  TrainerDigit t;
e865c9
  if (!t.loadSymbolMap("data/symbols-data.bin")) return 1;
e865c9
e865c9
  //printf("try load previously saved network\n"); l.load();
e865c9
e865c9
  printf("train\n");
e865c9
  t.configure(l, 0.5, 4, 1000000, 0, 0, 0.0000001).run();
e865c9
e865c9
  return 0;
e865c9
}
e865c9